今日美股網>美股要聞>英特尔(INTC.US)要逆袭!公布最新芯片技术路线图 计划2025年重返行业巅峰

英特尔(INTC.US)要逆袭!公布最新芯片技术路线图 计划2025年重返行业巅峰

  市场有风险,投资需谨慎。本文不构成个人投资建议,也未考虑到个别用户特殊的投资目标、财务状况或需要。用户应考虑本文中的任何意见、观点或结论是否符合其特定状况。据此投资,责任自负。

  自Pat Gelsinger回归并就任Intel CEO之后,这家芯片巨头便走上了快车道,并多线快下,力争领先。

  在7月26日举办的Inel Accelerated大会上,Pat Gelsinge和他所领导的技术团队不但分享了公司在工艺上的路线图,同时还谈到了公司在封装、晶圆代工,甚至还有公司在EUV工艺上的规划。

  现在,我们综合我们Intel领导层所讲的一些内容,以及媒体报道的一些精华,以飨读者。

工艺路线图:4nm、3nm、20A和18A 工艺路线图:4nm、3nm、20A和18A 

  正如Pat Gelsinger在演讲中所说,最初,制程工艺“节点”的名称与晶体管的栅极长度相对应,并以微米为度量单位。随着晶体管越变越小,栅极的长度越来越微缩,我们开始以纳米为度量单位。

  他接着说,在过去多年的发展中,英特尔在工艺制程上面有过很多的贡献。例如在1997年,英特尔推出了应变硅(strained silicon)技术,在加上其他技术方面的创新,进而持续缩小晶体管,让它们更快、更便宜和更高能效也变得同样重要。

  “从这时开始,传统命名方法不再与实际的晶体管的栅极长度相匹配。”Pat Gelsinger强调。

  来到2011年,在英特尔也率先推出FinFET技术。这是一种构建晶体管的全新方式,具有独特的形状和结构。正是得益于这种创新性的技术,摩尔定律继续生效,但Pat Gelsinger却表示,伴随着这种技术的出现,行业进一步分化。

  在Pat Gelsinger看来,包括英特尔在内整个行业使用着各不相同的制程节点命名和编号方案,这些多样的方案既不再指代任何具体的度量方法,也无法全面展现该如何实现能效和性能的最佳平衡。

  “为此,英特尔想要更新自己的命名体系,以创建一个清晰、一致和有意义的框架,来帮助我们的客户对整个行业的制程节点演进有一个更准确认知,进而做出更明智的决策。”Pat Gelsinger强调。

  基于这个思路,继去年推出英特尔有史以来最为强大的单节点内性能增强的10纳米SuperFin节点后,英特尔又推出了下一个节点——我们之前称它为Enhanced SuperFin——现在更名为Intel 7、紧随其后的是Intel 4和Intel 3。继Intel 3之后的那个节点,英特尔将其命名为20A,而不是大家以为的Intel 1。

  而据媒体anandtech的报道,英特尔在工艺方面也继承了过往的一些传统。

  如下图所示,英特尔对用于生产(production)和进入零售(retail)之间是有区别的;英特尔将某些技术称为“准备就绪”(being ready),而其他技术则称为“加速”(ramping‘),因此这个时间表只是提到的那些日期。正如您想象的那样,每个工艺节点都可能存在数年,此图只是展示了英特尔在任何给定时间的领先技术。

  综合可见,英特尔的详细规划和时间如下所示:

  2020 年,10nm SuperFin (10SF):该工艺已经实现大批量量产:基于该工艺制造的Tiger Lake 和英特尔的 Xe-LP 独立显卡解决方案(SG1、DG1)已经推出;

  2021 H2,Intel 7:这个节点以前称为 10nm Enhanced Super Fin 或 10ESF。Alder Lake (正在批量生产)和 Sapphire Rapids 都属于这一代工艺的产品,由于晶体管优化,这代工艺的每瓦性能比 10SF 提高 10-15%。此外,英特尔的 Xe-HP 现在将被称为英特尔 7 产品。

  2022 H2,Intel 4:这个接在在以前称为 Intel 7nm。英特尔今年早些时候表示,其 Meteor Lake 处理器将使用基于该工艺节点技术的计算块,现在该芯片已返回实验室进行测试。英特尔预计,在这个节点下,芯片每瓦性能比上一代提高 20%,并且该技术使用更多 EUV,主要用于 BEOL。英特尔的下一个至强可扩展产品 Granite Rapids 也将使用Intel 4进行生产。需要强调一下,Intel 4是英特尔首个完全采用极紫外光刻(EUV)技术的制程节点;

  2023 H2,Intel 3:以前称为英特尔 7+。增加 EUV 和新高密度库的使用。这就是英特尔的战略变得更加模块化的地方——Intel 3 将共享Intel 4 的一些特性,但足够新来描述这个新的完整节点,特别是新的高性能库。尽管如此,预计会很快跟进。EUV 使用的另一个进步是,英特尔预计 2023 年下半年的制造量将增加,其每瓦性能比Intel 4 提高 18%。

  2024 年,Intel 20A:以前称为 Intel 5nm。但新的路想吐转向两位数命名,A 代表 Ångström,或 10A 等于 1nm。关于这个节点有很少细节,但在这个节点,英特尔将从 FinFET 转向其称为 RibbonFET 的 Gate-All-Around (GAA) 晶体管。此外,英特尔还将推出一种新的 PowerVia 技术。

  2025年,Intel 18A:这在上图中未列出,但Intel预计2025年会有18A工艺。18A将使用ASML最新的EUV机器,称为High-NA机器,能够进行更精确的光刻。英特尔表示,它是 ASML 在 High-NA 方面的主要合作伙伴,并准备接收 High-NA 机器的第一个机器。ASML 最近宣布 High-NA 被推迟——当被问及这是否是一个问题时,英特尔表示不会,因为 High-NA 和 18A 的时间表是英特尔希望交叉并具有无可置疑的领导地位的地方。

  在谈到英特尔为何重命名节点的时候,anandtech强调,当中的一个要素是他们要与其他代工厂产品匹配。英特尔的竞争对手台积电(115.65, -1.14, -0.98%)和三星都使用较小的数字来比较类似的密度工艺。

  随着英特尔现在更名,他们与行业更加一致。话虽如此,anandtech暗示,英特尔的 4nm 可能与台积电的 5nm 相提并论。到 3nm 我们预计会有一个很好的平价点,但这将取决于英特尔与台积电的发布时间表相匹配。

  需要注意的一个关键点是,新的 Intel 7 节点(以前称为 10ESF 节点)不一定是我们通常理解的“完整”节点更新。

  该节点是作为 10SF 的更新派生而来的,如上图所示,将具有“晶体管优化”。从 10nm 到 10SF,这意味着SuperMIM和新的thin film设计提供了额外的 1 GHz+,但是从 10SF 到新的 Intel 7 的确切细节目前尚不清楚。然而,英特尔表示,从Intel 7 迁移到Intel 4 将是一个常规的全节点跳跃,Intel 3 使用Intel 4 的模块化部分以及新的高性能库和芯片改进,以实现性能的另一次跳跃。

  询问英特尔这些工艺节点是否会有额外的优化点时,英特尔回应道,它们中的任何一个是否会被明确地产品化将取决于特性。个别优化可能会额外增加 5-10% 的每瓦性能,我们被告知,即使 10SF(保留其名称)也有几个额外的优化点,但不一定公开。因此,这些更新是否以 7+ 或 7SF 或 4HP 的形式销售尚不清楚,但与任何制造过程一样,随着更新的发生以帮助提高性能/功率/产量,假设设计遵循相同的规则,它们就会被应用。

  “最后这个命名(20A)反映了摩尔定律仍在持续生效。随着越来越接近“1纳米”节点,我们将采用更能反映新时代的命名,即在原子水平上制造器件和材料的时代——半导体的埃米时代。”Pat Gelsinger说。他进一步指出,对于未来十年走向超越“1纳米”节点的创新,英特尔有着一条清晰的路径。

  在Pat Gelsinger看来,在穷尽元素周期表之前,摩尔定律都不会失效,英特尔将持续利用硅的神奇力量不断推进创新。英特尔的最新命名体系,是基于我们客户看重的关键技术参数而提出的,即性能、功率和面积。

  但Anandtech指出,这里的问题之一是工艺节点准备就绪(ready)、产品发布的生产量增加(ramping production)和实际可用之间( available)的区别。例如,Alder Lake(现在采用英特尔 7nm)将于今年问世,但 Sapphire Rapids 将更多地成为 2022 年的产品。同样,有报道称,英特尔 7 上的 Raptor Lake 将于 2022 年推出,以在 2023 年用英特尔 4 上的平铺 Meteor Lake 取代 Alder Lake。虽然英特尔很高兴讨论工艺节点开发时间框架,但产品时间框架并不开放(如毫无疑问,如果错过了规定的时间,客户会感到沮丧)。

  两大创新性技术:RibbonFET和PowerVia

  在演讲中,英特尔的全球技术开发团队负责人Ann Kelleher博士表示,公司将于2024年上半年推出的Intel 20A会成为制程技术的又一个分水岭。它拥有两大开创性技术——RibbonFET的全新晶体管架构,名为PowerVia的史无前例的创新技术,可优化电能传输。

  如上文所说,转向 20A 时,英特尔的工艺名称指的是埃而不是纳米。也就是在这个时刻,英特尔将从其 FinFET 设计过渡到一种新型晶体管,称为 Gate-All-Around 晶体管或 GAAFET。在英特尔的案例中,他们为其版本提供的营销名称是 RibbonFET。

  人们普遍预计,一旦标准 FinFET 失去动力,半导体制造行业将转向 GAAFET 设计。每个领先的供应商都称他们的实现方式不同(RibbonFET、MCBFET),但它们都使用相同的基本原理——具有多个层的灵活宽度晶体管帮助驱动晶体管电流。FinFET 依赖于源极/漏极的多个量化鳍片和多个鳍片轨迹的单元高度,而 GAAFET 支持可变长度的单个鳍片,从而允许在功率、性能或面积方面优化每个单独单元器件的电流。

  多年来,英特尔一直在半导体技术会议上讨论 GAAFET,在 2020 年 6 月的国际 VLSI 会议上,时任Intel CTO的 Mike Mayberry 博士展示了一张图表,其中包含转向 GAA 设计的增强静电。当时我们询问了英特尔批量实施 GAA 的时间表,并被告知预计“在 5 年内”。目前,英特尔的 RibbonFET 将采用 20A 工艺,根据上述路线图,很可能在 2024 年底实现产品化。

  在此次活动的英特尔 RibbonFET 图表中,它们同时显示了 PMOS 和 NMOS 器件,以及明显看起来像 4 堆栈设计的结构。鉴于我在行业会议上看到英特尔的演示文稿涉及从 2 堆栈到 5 堆栈的任何内容,我们确认英特尔确实将使用 4 堆栈实现。添加的堆栈越多,制造所需的工艺节点步骤就越多,引用英特尔的 Kelleher 博士的话,“移除堆栈比添加堆栈更容易!”。对于任何给定的进程或功能,究竟什么是正确的堆栈数量仍然是一个活跃的研究领域,但英特尔似乎热衷于四个。

  据英特尔制程技术相关负责人Sanjay Natarajan博士介绍,RibbonFET是一个Gate All Around晶体管。作为一项已经在业界被研发多年的技术,Gate All Around的名称来自于晶体管的架构。从设计上看,这个全新设计将栅极完全包裹在通道周围,可实现更好的控制,并在所有电压下都能获得更高的驱动电流。

  新的晶体管架构加快了晶体管开关速度,最终可打造出更高性能的产品。通过堆叠多个通道,即纳米带,可以实现与多个鳍片相同的驱动电流,但占用的空间更小。通过对纳米带的部署,英特尔可以使得带的宽度可以被调整,以适应多种应用。

  纵观其他竞争对手,台积电有望在其 2nm 工艺上过渡到 GAAFET 设计。在 2020 年 8 月的年度技术研讨会上,台积电确认将一直采用 FinFET 技术直至其 3nm(或 N3)工艺节点,因为它已经能够找到该技术的重大更新,以实现超越最初预期的性能和泄漏扩展——与台积电 N5 相比,N3具有高达 50% 的性能提升、30% 的功耗降低或 1.7 倍的密度提升。台积电表示,继续使用 FinFET 为其客户提供了舒适感。需要强调的是,台积电 N2 的细节尚未披露。

  相比之下,三星表示将在其 3nm 工艺节点中引入其 GAA 技术。早在 2019 年第二季度,三星代工厂就宣布向主要客户提供其使用 GAAFET 的新 3GAE 工艺节点的第一个 v0.1 开发套件。当时三星预测到 2021 年底量产,而最新公告表明,虽然 3GAE 将在 2022 年内部部署,但主要客户可能要等到 2023 年才能获得更先进的 3GAP 工艺。

  按照这个指标,三星可能是第一个迈入GAA大门的,尽管有内部节点,而台积电将首先从 N5、N4 和 N3 节点中获得很多收益。大约来到2023 年底,一切会变得有趣,因为台积电可能会考虑其 N2 设计,而英特尔则致力于 2024 年的时间框架。官方幻灯片显示 2024 年上半年,尽管作为技术公告与产品公告,两者之间通常存在一些滞后。

  PowerVia则是英特尔全新的背面电能传输网络。这是由英特尔工程师开发的一项独特技术,也将在Intel 20A中首次采用。

  我们知道,现代电路的制造过程从晶体管层 M0 作为最小层开始。在此之上,以越来越大的尺寸添加额外的金属层,以解决晶体管与处理器不同部分(缓存、缓冲器、加速器)之间所需的所有布线。现代高性能处理器的设计中通(26.61, -1.40, -5.00%)常有 10 到 20 个金属层,顶层放置外部连接。然后将芯片翻转(称为倒装芯片),以便芯片可以通过底部的连接和顶部的晶体管与外部世界进行通信。

  但正如Sanjay Natarajan博士所说,这种传统的互连技术是在晶体管层的顶部进行互联,由此产生的电源线和信号线的互混,导致了布线效率低下的问题,会影响性能和功耗。为此业界转向了“背面供电的技术”,也就是英特尔所说的PowerVias。

  在新的工艺中,英特尔把电源线置于晶体管层的下面,换言之是在晶圆的背面。通过消除晶圆正面的电源布线需求,可腾出更多的资源用于优化信号布线并减少时延。通过减少下垂和降低干扰,也有助于实现更好的电能传输。这使我们能够根据产品需求,对性能、功耗或面积进行优化。

  换另一种说法,在全新的设计中,我们现在将晶体管置于设计的中间。在晶体管的一侧,我们放置了通信线,允许芯片的各个部分相互通信。另一方面是所有与电源相关的连接(以及电源门控)。从本质上讲,我们转向了三明治,其中晶体管是填充物。

  “PowerVia将是业界首个部署的背面电能传输网络。当我们将这一创新做到产品中时,其缺陷密度、性能和可靠性让我们相信,它将蓄势待发。”Sanjay Natarajan博士强调。

  从整体来看,我们可以确定这种设计的好处始于简化电源线和连接线。通常,这些必须被设计为确保没有信号干扰,并且主要的干扰源之一是大功率传输线,因此通过将它们放在芯片的另一侧,可以将它们排除在外。它也以另一种方式起作用——互连数据线的干扰会增加功率传输电阻,从而导致能量和热量损失。通过这种方式,PowerVias 可以在驱动电流增加时帮助新一代晶体管,因为它可以直接在那里供电,而不是围绕连接进行布线。

  但正如anandtech所说,这里有几个障碍需要注意。

  通常我们首先开始制造晶体管,因为它们是最困难且最有可能出现缺陷的——如果在计量早期发现缺陷(制造中的缺陷检测),那么可以在周期中尽早报告。通过在中间放置晶体管,英特尔现在可以先制造几层电源,然后再进入艰难的阶段。现在从技术上讲,与晶体管相比,这些电源层将非常容易,并且不会出错,但这是需要考虑的。

  要考虑的第二个障碍是电源管理和导热性。现代芯片首先将晶体管构建成十几个层,以电源和连接结束,然后芯片被翻转,因此耗电的晶体管现在位于芯片的顶部,并且可以管理热量。在三明治设计中,热能将通过芯片顶部的任何东西,这很可能是内部通信线路。假设这些电线的热量增加不会在生产或常规使用中引起任何问题,那么这可能不是什么大问题,但是当热量必须从晶体管传导出去时需要考虑。

  值得注意的是,这种“背面供电”技术已经开发了很多年。在 2021 年的 VLSI 研讨会上发表的五篇研究论文中,imec 发表了多篇关于该技术的论文,展示了使用 FinFET 时的最新进展,并且在 2019 年,Arm 和 imec宣布了在 imec 研究中基于等效 3nm 工艺构建的 Arm Cortex-A53 上的类似技术设施。

  总体而言,该技术降低了设计上的 IR 压降,这在更先进的工艺节点技术上越来越难以实现以提高性能。当该技术在高性能处理器上大量使用时,将会很有趣。

  下一代封装:EMIB 和 Foveros

  除了工艺节点的进步,英特尔还必须推进下一代封装技术。因为市场对高性能芯片的需求加上日益困难的工艺节点开发,就创造了这样的一种环境,在这种环境中,处理器不再是一个单一的硅片,而是依赖于以有利于性能的方式封装在一起的多个较小(并且可能优化)的小芯片或块、电源和最终产品。

  换而言之,单个大型芯片不再是明智的商业决策——因为它们最终可能很难做到没有缺陷,或者制造它们的技术没有针对芯片上的任何特定功能进行优化。然而,将处理器分成单独的硅片会为在这些片之间移动数据造成额外的障碍——如果数据必须从硅片过渡到其他东西(例如封装或中介层),那么就有了力量要考虑的成本和延迟成本。

  权衡是针对特定目的构建的优化硅,例如在逻辑工艺上制造的逻辑芯片,在存储器工艺上制造的存储器芯片,并且较小的芯片在合并时通常比较大的芯片具有更好的电压/频率特性。但支撑这一切的是芯片是如何组合在一起的,

  英特尔的两种主要专业封装技术是 EMIB 和 Foveros。英特尔解释了两者与未来节点开发相关的未来。

  一、EMIB:嵌入式多芯片互连桥接器

  英特尔的 EMIB 技术专为布局在 2D 平面上的芯片到芯片连接而设计。

  同一基板上的两个芯片相互通信的最简单方法是采用穿过基板的数据通路。基板是由绝缘材料层组成的印刷电路板,其中散布着蚀刻成轨道和迹线( tracks and traces)的金属层。根据基板的质量、物理协议和所使用的标准,通过基板传输数据会消耗大量电力,并且带宽会降低。但是,这是最便宜的选择。

  基板的替代方案是将两个芯片都放在中介层(interposer)上。中介层是一大块硅片,大到足以让两个芯片完全贴合,并且芯片直接与中介层结合。类似地,中介层也有数据路径,但由于数据是从硅片移动到硅片的,因此功率损失不如基板多,带宽可以更高。这样做的缺点是中介层也必须制造(通常在 65nm 上),所涉及的芯片必须足够小以适应,而且可能相当昂贵。为此,interposer和active interposers是一个很好的解决方案。

  英特尔的 EMIB 解决方案是中介层和基板的结合。英特尔没有采用大型中介层,而是使用小型硅片并将其直接嵌入基板中,英特尔将其称为桥接器。桥实际上是两半,每边有数百或数千个连接,并且芯片被构建为连接到桥的一半。现在,两个芯片都连接到该桥接器,具有通过硅传输数据的好处,而不受大型中介层可能带来的限制。如果需要更多带宽,英特尔可以在两个芯片之间嵌入多个桥接器,或者为使用两个以上芯片的设计嵌入多个桥接器。此外,该桥的成本远低于大型中介层。

  有了这些解释,听起来英特尔的 EMIB 是双赢的。然而该技术存在一些限制——实际上将桥嵌入基板有点困难。英特尔已花费数年时间和大量资金试图完善该技术以实现低功耗运行。最重要的是,每当您将多个元素添加在一起时,该过程都会产生相关的良率问题——即使将芯片连接到桥的良率是 99%,但在单个设计中使用十几个芯片会降低整体良率下降到 87%,即使从已知的好芯片(有自己的收益)开始也是如此。当您听说英特尔一直致力于将这项技术推向市场时,他们正在努力改进这些数字。

  英特尔目前在市场上的几种产品上都有 EMIB,最引人注目的是其 Stratix FPGA 和 Agilex FPGA 系列,但它也是 Kaby G 移动处理器系列的一部分,将 Radeon GPU 连接到高带宽内存。英特尔已经表示将基于其推出多款未来产品,包括 Ponte Vecchio(超级计算机级图形)、Sapphire Rapids(下一代至强企业处理器)、Meteor Lake(2023 消费级处理器)以及其他与图形相关的产品。

  在 EMIB 的路线图方面,英特尔将在未来几年减少凸点间距。当芯片连接到嵌入在基板中的桥时,它们通过凸块连接,凸块之间的距离称为间距——凸块间距越小,在同一区域内可以建立的连接越多。这允许芯片增加带宽或减小桥接尺寸。

  2017 年的第一代 EMIB 技术使用 55 微米凸点间距,而且即将推出的 Sapphire Rapids 似乎仍然如此,但是英特尔正在将自己与超越 Sapphire Rapids 的 45 微米 EMIB,导致第三代 36 微米 EMIB. 这些的时间表没有透露,但是在 Sapphire Rapids 之后将是 Granite Rapids,因此到这时,可能会推出 45 微米的设计。

  二、Foveros:Die to Die 的堆栈

  英特尔于 2019 年通过Lakefield推出了其芯片到芯片堆叠技术,Lakefield 是一款专为低空闲功耗设计而设计的移动处理器。虽然该处理器此后走向了生命尽头,但该想法仍然是英特尔未来产品组合和代工产品的未来不可或缺的一部分。

  Intel 的 die-to-die 堆叠在很大程度上与 EMIB 部分中提到的中介层技术非常相似。

  我们将一块(或更多)硅片放在另一块硅片上。然而,在这种情况下,interposer或基片具有与顶部硅片中的主计算处理器的完整运行相关的有源电路。虽然内核和图形在 Lakefield 的顶级芯片上,建立在英特尔的 10 纳米工艺节点上,但基础芯片拥有所有 PCIe 通道、USB 端口、安全性以及与 IO 相关的所有低功耗,并建立在 22FFL 低功耗上进程节点。

  因此,虽然 EMIB 技术将硅片彼此分开工作被称为 2D 缩放,但通过将硅片放在彼此的顶部,我们已经进入了完整的 3D 堆叠方式。这带来了一些好处,尤其是在规模上,可以获得数据路径更短的优势,由于更短的电线而导致更少的功率损耗,但也有更好的延迟。芯片到芯片的连接仍然是键合连接,第一代的间距为 50 微米。

  但这里有两个关键限制:热量和功耗。为避免散热问题,英特尔使基本芯片几乎没有逻辑并使用低功耗工艺。在电源方面,问题在于让顶部计算芯片为其逻辑供电——这涉及从封装向上通过基础芯片到顶部芯片的大功率硅通孔 (TSV),而那些承载功率的 TSV 成为由于高电流引起的干扰而导致的局部数据信令问题。还希望在未来的工艺中缩小到更小的凸点间距,从而实现更高的带宽连接,需要更多地关注功率传输。

  今天与 Foveros 相关的第一个公告是关于第二代产品。英特尔的 2023 年消费级处理器 Meteor Lake 已在上文中描述为使用英特尔 4nm 计算块。英特尔今天还表示,它将在该平台上使用其第二代 Foveros 技术,实现 36 微米的凸点间距,与第一代相比,连接密度有效地增加了一倍。Meteor Lake 中的另一个 tile 尚未公开(它有什么或它在哪个节点上),但英特尔也表示 Meteor Lake 将从 5 W 扩展到 125 W。

  三、Foveros Omni:第三代 Foveros

  对于那些一直密切关注英特尔封装技术的人来说,“ODI”这个名字可能很熟悉。它代表 Omni-Directional Interconnect,它是英特尔之前封装技术路线图中的名称。现在将作为 Foveros Omni 销售。

  这意味着第一代 Foveros 需要top tie小于 base die的限制现在被取消。top tie可以比base die大,或者如果每个层上有多个裸片,它们可以连接到任意数量的其他硅片。Foveros Omni 的目标是真正解决 Foveros 初始部分中讨论的功率问题——因为承载 TSV 的功率会在信号中造成大量局部干扰,因此放置它们的理想(30.77, 0.49, 1.62%)位置是在base die的外部。Foveros Omni 是一种技术,允许顶部裸片从基础裸片悬垂,铜柱从基板一直延伸到顶部裸片以提供电源。

  使用这种技术,如果可以从top die的边缘引入电源,则可以使用这种方法。然而,我确实想知道,如果使用大硅片,电源是否会更好地从中间馈电——英特尔曾表示 Foveros Omni 与分离式 base dies一起工作,这样,如果base die设计用于可在该较低层上使用的基板。

  通过将功率 TSV 移到base die之外,这还可以改善裸片到裸片的凸点间距。英特尔称 Omni 为 25 微米,与第二代 Foveros 相比,凸点密度又增加了 50%。英特尔预计 Foveros Omni 将在 2023 年为批量生产做好准备。

  四、Foveros Direct:第四代 Foveros

  任何芯片到芯片连接的问题之一是连接本身。在迄今为止提到的所有这些技术中,我们都在处理微凸点粘合连接——带有锡焊帽的小铜柱,它们被放在一起并“粘合”以创建连接。由于这些技术正在增加铜和沉积锡焊料,因此很难将它们按比例缩小,而且电子设备的功率损耗也会转移到不同的金属中。

  Foveros Direct 通过直接进行铜对铜键合来解决这个问题。

  多年来,人们一直在研究硅与硅之间直接连接的概念,而不是依靠柱子和凸块的结合。如果一块硅直接与另一块对齐,那么几乎不需要额外的步骤来生长铜柱等。问题在于确保所有连接都已完成,确保top die和base die都非常平坦,没有任何障碍。此外,两片硅必须合二为一,并且永久粘合在一起而不会分开。

  Foveros Direct 是一项技术,可帮助英特尔将其芯片到芯片连接的凸点间距降低到 10 微米,密度是 Foveros Omni 的 6 倍。通过实现扁平铜对铜连接,凸点密度增加,全铜连接的使用意味着低电阻连接和功耗降低。英特尔建议使用 Direct,功能芯片分区也变得更容易,并且可以根据需要将功能块拆分到多个级别。

  从技术上讲,Foveros Direct 作为芯片到芯片的键合可以被认为是对 Foveros Omni 的补充,它具有base die外部的电源连接——两者都可以相互独立使用。直接绑定会使内部电源连接更容易,但可能仍然存在干扰问题,Omni 会处理这些问题。

  应该指出的是,台积电拥有类似的技术,称Chip-on-Wafer (或Wafer-on-Wafer),其客户产品将在未来几个月内使用 2 层堆栈推向市场。台积电在 2020 年年中展示了 12 层堆栈,但这是用于信号的测试工具,而不是产品。堆栈中的问题仍然是热量,以及进入每一层的内容。

  英特尔预测,Foveros Direct 与 Omni 一样,将在 2023 年准备好量产。

  “随着我们继续推动先进封装的发展,我们将在未来几代技术中从电子封装过渡到集成硅光子学的光学封装。当然,我们将继续与包括Leti、IMEC和IBM(142.77, 1.43, 1.01%)在内的产业伙伴密切合作,在以上和其他诸多创新领域进一步发展制程和封装技术。”英特尔方面强调。

  EUV光刻机和晶圆代工客户

  在英特尔今天的演讲中,他们强调,公司将成为 ASML 下一代 EUV 技术(即 High-NA EUV)的主要客户。其中NA 与 EUV 机器的“数值孔径”有关,或者简单地说,在 EUV 光束击中晶圆之前,您可以在机器内部使该光束有多宽。在您击中晶片之前光束越宽,它击中晶片时的强度就越大,从而提高打印线条的准确度。

  通常,在光刻中为了获得更好的印刷线,我们从单一图案化转向双图案化(或四方图案化)以获得这种效果,这会降低产量。转向High NA 意味着生态系统可以更长时间地保持单一模式,一些人认为这可以让行业“更长时间地与摩尔定律保持一致”。

  首先,英特尔方面表示,将EUV投入量产,需要构建一个以该设备为中心的完整供应链生态——光刻胶、掩模生成、蒙版加附、计量检测。而英特尔为构建这个生态系统付出了很大努力。

  据了解,英特尔子公司IMS是EUV多波束掩模刻写仪的全球主要供应商。这是制作高分辨率掩模的必备工具,而掩模则是实现EUV光刻技术的关键部分。采用掩模刻写技术对英特尔来说极具竞争优势,也是同业的关键推动力。

  与此同时,英特尔还在携手ASML定义、构建和部署下一代EUV工具,被称为高数值孔径EUV(High-NA EUV)。High-NA将集成更高精度的透镜和反射镜,以提高分辨率,从而在硅片上刻印出更微小的图样。英特尔有望率先获得业界第一台High-NA EUV光刻机,并计划在2025年成为首家在生产中实际采用High-NA  EUV的芯片制造商。

  英特尔强调,这些进展也取决于我们和业界其他关键参与者的密切合作。与包括应用材料(138.48, 0.05, 0.04%)(Applied Materials)、泛林集团(LAM Research)和东电(2.9099, 0.01, 0.34%)电子(TEL)在内的设备供应商的合作,是我们实现领先技术路线图的关键。

  从目前看来,当前的 EUV 系统的NA为 0.33,而新系统的NA为 0.55。ASML 的最新更新表明,它预计客户将在 2025/2026 年可以使用 High-NA 设备进行生产,这意味着英特尔可能会在 2024 年中期获得第一台机器(我们认为是 ASML NXE:5000)。确切地说,ASML 打算在那个时间段内生产多少台High NA 机器是未知的,那就意味着拥有第一台机器不会是一个大胜利。但是,如果 High-NA 上升缓慢,则由英特尔来利用其优势。

  最后,英特尔还披露了他们在晶圆代工方面的进展。

  Pat Gelsinger表示,英特尔代工服务(IFS)的优势之一,是公司既能提供领先的制程和封装技术创新,又能将我们的既有成熟技术以全新的方式服务于我们的客户。客户对英特尔代工服务(IFS)一直怀有强烈的兴趣,其中受到重点关注的是我们成熟的先进封装技术。

  基于此,英特尔宣布,公司已经与AWS签约,它们将成为我们的第一个使用英特尔代工服务(IFS)封装解决方案的客户。此外,英特尔也与高通(143.5, -1.38, -0.95%)合作,他们将采用Intel 20A制程工艺技术。

  两家公司都坚信,移动计算平台的领先发展将开启半导体的新时代。可以明确的是,英特尔代工服务已扬帆起航!



友情提示:
1、本網站內容和圖片僅為個人學習、研究公益之用,如有侵權請聯系我們馬上處理。
2、本網站所刊載的所有信息僅供參考,不用做交易和服務的根據,且不構成任何投資建議。
3、領取福利,關註https://twitter.com/TodayUSStock

相关内容

  • 英特尔(INTC.US)绕过出口限制;AMD 提出担忧

    据路透社报道,半导体公司英特尔(纳斯达克股票代码:INTC)绕过 出口限制 ,向受到严厉制裁的中国科技和电信公司华为运送价值数亿美元的芯片 。此举允许英特尔向华为供应笔记本电脑使用的芯片,但其竞争对手 Advanced Micro Devices(纳斯达克股票代码:AMD )认为此举不公...

    03-13 20:24美股要聞
  • 坎托菲茨杰拉德公司:重申Intel(INTC.US)評級

    坎托菲茨杰拉德公司:重申Intel(INTC.US 評級,由中性調整至中性評級, 目標價50.00美元。 英特爾(INTC.US)公司簡介:英特爾公司是全球最大的個人計算機零件和CPU制造商。從事計算機產品和技術的設計、制造和銷售。它提供計算機、網絡、數據存儲和通信平臺。其業務范圍包括:客戶端計...

    02-03 12:17機構評級
  • 高盛:維持Intel(INTC.US)評級

    高盛:維持Intel(INTC.US 評級,由賣出調整至賣出評級, 目標價由34.00美元調整至39.00美元。 英特爾(INTC.US)公司簡介:英特爾公司是全球最大的個人計算機零件和CPU制造商。從事計算機產品和技術的設計、制造和銷售。它提供計算機、網絡、數據存儲和通信平臺。其業務范圍包括:...

    02-01 08:14機構評級
  • 英特尔(INTC.US)通过新收购瞄准汽车市场

    芯片巨头英特尔(纳斯达克股票代码:INTC)正致力于通过 人工智能(AI)战略增强其在汽车市场的竞争地位。作为其中的一部分,该公司宣布收购 Silicon Mobility SAS,这是一家专门从事汽车半导体和软件的无晶圆厂公司,专注于为 电动汽车 (EV 能源管理提供片上系统 (SoC 。目前,...

    01-10 20:49美股要聞
  • 英特尔(INTC.US)通过新收购瞄准汽车市场

    芯片巨头英特尔(纳斯达克股票代码:INTC)正致力于通过 人工智能(AI)战略增强其在汽车市场的竞争地位。作为其中的一部分,该公司宣布收购 Silicon Mobility SAS,这是一家专门从事汽车半导体和软件的无晶圆厂公司,专注于为 电动汽车 (EV 能源管理提供片上系统 (SoC 。目前,...

    01-10 20:46美股要聞
  • 分析师的赞扬无法让英特尔(INTC.US)保持高位

    最近,芯片股英特尔(纳斯达克股票代码:INTC)取得了真正的胜利。它获得了32 亿美元的拨款,用于在以色列建造一座价值 250 亿美元的新芯片工厂。然而,英特尔在周四下午的交易中小幅下滑,因为尽管分析师对此欣喜若狂,但其胜利的价值正在逐渐消失。阿格斯研究公司(Argus Research)分析师吉姆...

    12-29 14:43美股要聞
  • 英特尔(INTC.US)获得32亿美元拨款,用于以色列250亿美元的芯片工厂

    半导体巨头英特尔(纳斯达克股票代码:INTC)为其计划在以色列建造的大型芯片工厂获得了 32 亿美元的资助。据路透社报道,这座价值 250 亿美元的工厂预计将成为以色列公司有史以来最大的投资。英特尔已在以色列拥有四家工厂。计划在 Kiryat Gat 工厂进行的扩张是英特尔为在全球范围内建立弹性供应...

    12-27 14:53美股要聞
  • 英特尔(INTC.US):美国银行表示,是时候让英特尔股票摆脱惩罚框了

    2023 年,英特尔(纳斯达克股票代码:INTC)在多个方面都出现了一些转变。经过一段时间的失误、CPU市场份额被AMD夺走、股价不断下跌之后,跌势已经停止。在过去几个季度更新中显而易见的基本面改善的推动下,投资者做出了同样的反应,导致股价全年上涨 81%。美国银行分析师 Vivel Arya 表示...

    12-21 13:32美股要聞
  • 英特尔(INTC.US)凭借新处理器崛起

    芯片股英特尔(纳斯达克股票代码:INTC)在周四上午的交易中大放异彩,上涨略高于 3%,这要归功于今天早些时候在 AI Everywhere 活动上推出的一系列新处理器。正如该活动的名称所暗示的那样,新处理器都将有助于改善人工智能操作,这足以给英特尔带来推动。更好的是,有迹象表明整个半导体市场正在崛...

    12-15 10:47美股要聞
  • Benchmark:維持Intel(INTC.US)評級

    Benchmark:維持Intel(INTC.US 評級,由買入調整至買入評級, 目標價由42.00美元調整至52.00美元。 英特爾(INTC.US)公司簡介:英特爾公司是全球最大的個人計算機零件和CPU制造商。從事計算機產品和技術的設計、制造和銷售。它提供計算機、網絡、數據存儲和通信平臺。其...

    12-06 13:33公司財報
  • 英特尔(INTC.US)因 $2.18B 专利案松了一口气

    美国上诉法院推翻了芯片制造商英特尔 ( NASDAQ:INTC 面临的 21.8 亿美元专利侵权判决,该公司的股东松了一口气。为了提供背景信息,VLSI Technology 于 2019 年 4 月对英特尔提起专利侵权诉讼。初审结果陪审团判给 VLSI 21.8 亿美元,裁定英特尔侵犯了 VL...

    12-05 20:32美股要聞
  • 英特尔(INTC.US)股价上涨,它会走高吗?

    芯片制造商英特尔(纳斯达克股票代码:INTC)的股价大幅上涨,今年迄今已上涨 57% 以上。 此外, 11 月 15 日,瑞穗证券 (Mizuho Securities 五星级分析师 Vijay Rakesh 将INTC 股票评级从持有上调至买入,创下 40.84 美元的 52 周新高。尽管 Ra...

    11-16 20:31美股要聞
  • 瑞穗:上調英特爾(INTC.US)評級至“買入” 目標價升至50美元

    由于個人電腦和數據中心市場的復蘇,瑞穗證券分析師Vijay Rakesh將其對英特爾(INTC.US 的股票評級由“中性”上調至“買入”,并將目標價由37美元上調至50美元。 英特爾(INTC.US)公司簡介:英特爾公司是全球最大的個人計算機零件和CPU制造商。從事計算機產品和技術的設計、制造和...

    11-16 11:28機構評級
  • 分析师升级后英特尔(INTC.US)触及 52 高点

    瑞穗证券 (Mizuho Securities 上调英特尔 ( NASDAQ:INTC 股票评级后,其股价今天创下 52 周新高。分析师Vijay Rakesh预测 PC 和数据中心市场的积极趋势。因此,他将英特尔的评级从“持有”上调至“买入”,同时将目标股价从每股 37 美元提高至 50 美...

    11-16 08:18美股要聞
  • 英特尔(INTC.US)在确保 CHIPS 法案资金方面遥遥领先

    迄今为止,芯片制造商英特尔(纳斯达克股票代码:INTC)在根据 CHIPS 法案资助计划获得数十亿美元资金方面处于领先地位。据《华尔街日报》报道,英特尔将利用这些资金为美国国防和情报部门建造大型芯片制造设施,被指定为“安全飞地”。目前尚不清楚这笔资金的具体金额,但人们认为其成本可能约为 3 至 40...

    11-07 20:22美股要聞
  • 尽管分析师担忧,英特尔(INTC.US)仍继续上涨

    芯片股英特尔(纳斯达克股票代码:INTC)最近经历了一段艰难的时期。随着几家竞争对手进入了它以前主要占据的领域,而且市场总体处于衰退状态,它在一段时间内看起来并不好。然而,尽管分析师提出了一些明显的担忧,但英特尔在周一下午的交易时段中小幅上涨。罗伯特·W·贝尔德 (Robert W. Baird ...

    10-31 11:11美股要聞
  • 大摩:維持Intel(INTC.US)評級

    大摩:維持Intel(INTC.US 評級,由持股觀望調整至持股觀望評級, 目標價由35.00美元調整至39.00美元。 英特爾(INTC.US)公司簡介:英特爾公司是全球最大的個人計算機零件和CPU制造商。從事計算機產品和技術的設計、制造和銷售。它提供計算機、網絡、數據存儲和通信平臺。其業務范...

    10-28 23:16公司財報
  • 英特尔(INTC.US)因第三季度业绩强劲而上涨

    科技巨头英特尔 ( NASDAQ:INTC 公布 2023 财年第三季度收益后,该公司股价在盘后交易中上涨 6%。每股收益为 0.41 美元,超出市场普遍预期的每股 0.22 美元。销售额同比下降 8%,收入达到 142 亿美元。这超出了分析师的预期 6 亿美元。英特尔首席财务官David Zin...

    10-27 10:40美股要聞
  • 英特尔(INTC.US)最新的意大利工厂停工

    芯片股英特尔(纳斯达克股票代码:INTC)一直致力于在意大利建立并运营一家新的制造工厂。到目前为止,这一举措并不十分顺利,但显然已经取得了足够的进展,足以让投资者感到相当满意。英特尔在周四下午的交易中小幅上涨,这主要归功于迄今为止所取得的进展。报道指出,意大利工厂仍处于搁置状态,英特尔与意大利政府之...

    10-20 10:11美股要聞
  • 英特尔(INTC.US)股票:预测新芯片限制的影响

    美国商务部 加强了 对中国 先进芯片、 AI(人工智能)技术和半导体设备的出口限制。虽然英伟达(纳斯达克股票代码:NVDA)预计新的限制不会在短期内对其财务产生重大影响,但英特尔(纳斯达克股票代码:INTC)尚未提供这方面的任何更新。然而,从之前的情况来看,人们担心 INTC 的销售可能...

    10-18 20:35美股要聞
  • Northland Capital Markets:維持Intel(INTC.US)評級

    Northland Capital Markets:維持Intel(INTC.US 評級,由優于大市調整至優于大市評級, 目標價由45.00美元調整至56.00美元。 英特爾(INTC.US)公司簡介:英特爾公司是全球最大的個人計算機零件和CPU制造商。從事計算機產品和技術的設計、制造和銷售。它...

    10-05 12:55機構評級
  • 英特尔(INTC.US)德国工厂很难找到好的帮助

    最近,芯片股英特尔(纳斯达克股票代码:INTC)宣布计划在德国建立一家大型新工厂,并开始着手建设。然后,一个意想不到的问题出现了:为芯片厂寻找工人变得越来越困难。事实证明,英特尔的这一最新逆转对一些投资者来说太过沉重,他们因此损失了英特尔略高于 1% 的市值。来自德国的消息指出,英特尔需要大约 3,...

    09-27 10:14美股要聞
  • 英特尔(INTC.US)的欧盟推动在德国面临挑战

    芯片制造商英特尔(纳斯达克股票代码:INTC)承诺在未来十年内向欧盟或欧盟投资约 800 亿欧元。这需要建立一个全面的半导体生态系统,包括研发、制造和先进封装。然而,据《华尔街日报》报道,该公司的欧洲芯片制造计划在德国面临挑战。2022年3月,英特尔迈出了第一步,宣布初始投资170亿欧元。这笔投资专...

    09-26 19:52美股要聞
  • 英特尔(INTC.US)被欧盟罚款 4 亿美元

    出人意料的是,在欧盟反垄断部门对其处以 4 亿美元罚款后,芯片股英特尔 ( NASDAQ:INTC 在周五上午的交易时段小幅下跌。尽管事实上英特尔去年似乎已经赢得了这场战斗。早在2009年,欧洲法院就认定英特尔使用非法手段将AMD(纳斯达克股票代码:AMD)排除在欧洲市场之外,英特尔因此被处以1...

    09-23 10:56美股要聞
  • Roth MKM:重申Intel(INTC.US)評級

    Roth MKM:重申Intel(INTC.US 評級,由中性調整至中性評級, 目標價35.00美元。 英特爾(INTC.US)公司簡介:英特爾公司是全球最大的個人計算機零件和CPU制造商。從事計算機產品和技術的設計、制造和銷售。它提供計算機、網絡、數據存儲和通信平臺。其業務范圍包括:客戶端計算...

    09-23 09:31公司財報
  • 英特尔(INTC.US)创新日盛况空前

    芯片股英特尔(纳斯达克股票代码:INTC)昨天举行了创新日活动,展示了该领域的一些重大新进展。坏消息是它未能激发人们的想象力,尤其是投资者的想象力。事实上,在周三上午的交易时段,投资者纷纷退出英特尔,并带走了英特尔约 2% 的市值。此外,华尔街分析师也不完全喜欢他们在这里看到的情况。例如,摩根士丹利...

    09-21 10:54美股要聞
  • 尽管推出新芯片,英特尔(INTC.US)仍下滑

    英特尔展示了一些新处理器,但就投资者而言,这个消息还不够好。芯片股英特尔(纳斯达克股票代码:INTC)在今天的创新活动中有很多值得炫耀的内容。但遗憾的是,就其投资者而言,它所展示的东西很大程度上还不够。周二下午的交易中,英特尔股价下跌了近 2%,而其展示的一系列新芯片也没有带来太大影响。英特尔在其最...

    09-20 10:36美股要聞
  • 分析师推测英特尔(INTC.US)可能会生产 Arm 芯片

    芯片股英特尔(纳斯达克股票代码:INTC )的情况已经有一段时间了,但今天的一些消息应该会给它带来一剂强心剂。相反,由于分析师推测英特尔可能会为Arm Holdings制造芯片,因此它失去了一点点支持。TF International Securities 分析师 Ming-Chi Kuo 的新报告...

    09-09 11:24美股要聞
  • 英特尔(INTC.US)与 Tower Semiconductor 建立新的代工合作伙伴关系

    英特尔(纳斯达克股票代码:INTC)和塔尔半导体(纳斯达克股票代码:TSEM )这两家芯片股巨头最近达成了一项新协议,双方将在代工功能方面展开合作,并在同一领域完成更多工作。投资者在周二下午的交易中意见不一,英特尔小幅上涨,塔楼小幅下跌。此前,英特尔曾试图收购Tower,并将这些功能整合到自己的运营...

    09-06 10:58美股要聞
  • 英特爾(INTC.US)給出樂觀信號 花旗潑冷水:維持34美元目標價

    華爾街大行花旗表示,盡管英特爾(INTC.US 首席執行官Pat Gelsinger有關其第三季度業績指引和代工業務預付款的評論“顯然是一個積極的發展”,但這家總部位于加州圣克拉拉的芯片制造業巨頭尚未擺脫其所處的困境。花旗重申該行對英特爾的“中性”評級和34美元的目標價。截至發稿,英特爾盤初漲2.8...

    09-02 16:21公司財報